Ve kapısı

VE kapısı, devredeki lambanın yanması için, seri bağlı A ve B anahtarlarının her ikisinin de kapalı (1 durumunda) olması gerekir. Doğruluk tablosunun son sütunu, A ve B değişkenlerinin çarpımı ile elde edilir.(Y=AXB) Bu işlemi yapan lojik devreye VE KAPISI (And Gate) denir. Birleşme mantık bağlacının dijital sistemlerdeki karşılığıdır.

VE kapısı

VE Kapısı, sadece tüm girişleri 1 ise 1 verir, diğer tüm hallerde 0 verir.

VE Kapısı için doğruluk tablosu:

ABA and B
000
010
100
111
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.